Фазовый детектор принцип работы для чайников. Схемы фазовой автоподстройки частоты. Фазовый детектор на логических элементах

Основными параметрами ФД являются

Фазовые детекторы

Фазовые детекторы применяются для преобразования разности фаз двух сигналов в соответствующее напряжение . Приемник может принимать оба или одно из колебаний. Во втором случае на фазовый детектор (ФД), кроме принимаемого подается еще местный, опорный сигнал. Напряжение на выходе ФД, соответствующее разности фаз сравниваемых колебаний, получают путем их перемножения в схемах, аналогичным преобразователям частоты и синхронным детекторам. Частоты обеих колебаний должны быть одинаковыми. Нагрузкой ФД служит фильтр нижних частот (ФНЧ).

Если на схему умножителя (рис. 3.35) подать полезный сигнал

и вспомогательный сигнал той же частоты

ток на его выходе получается пропорциональным произведению воздействующих сигналов

Напряжение удвоенной частоты на выходе ФНЧ близко к нулю и его можно не учитывать. Постоянная составляющая напряжения на выходе ФНЧ (например, на RC фильтре)

зависит от разности фаз сравниваемых колебаний.

Амплитудно-фазовая или статическая характеристика ФД представляет собой зависимость выходного напряжения от разности фаз между сигналом и опорным напряжением

Вид амплитудно-фазовой характеристики (рис. 3.36) определяется типом и параметрами схемы ФД. Она также зависит от значений амплитуды и. Специфичной особенностью этой характеристики является ее периодичность, т.е. при возрастании значений она будет повторяться с периодом.

Рисунок 3.36 - Амплитудно-фазовая характеристика фазового детектора

Крутизна характеристики ФД представляет собой производную выходного напряжения по фазовому углу, вычисленную при заданных значениях амплитуды напряжения сигнала и опорного в точке, где эта производная максимальна

Коэффициент передачи ФД представляет собой отношение величины выходного сигнала при заданном значении разности фаз между подводимыми напряжениями

По своему схемному решению ФД могут быть:

Однотактными;

Балансными (двухтактными);

Кольцевыми;

Ключевыми и т.д.

Схема однотактного ФД представлена на рис. 3.37.

Рисунок 3.37- Однотактный фазовый детектор

Схема однотактного ФД отличается от обычного диодного амплитудного детектора тем, что на диод воздействует сумма двух высокочастотных сигналов. Положим, что

В схеме рис. 3.37а диод, R и C действуют как амплитудный детектор с коэффициентом передачи.

Напряжение на выходе ФД составляет

Как следует из рис. 3.36 зависимость выходного напряжения от разности фаз оказывается нелинейной. Только на небольшом участке в районе детекторную характеристику практически можно считать линейной.



Схема балансного ФД (рис. 3.38а) состоит из двух однотактных фазовых детекторов, выходные цепи которых включены навстречу. Поэтому работа схемы в принципе не отличается от работы однотактного ФД.

Рисунок 3.38 – Балансный фазовый детектор

При выполнения условия детекторная характеристика ФД становится практически линейной (рис. 3.38б).

Входное напряжение ФД определяется разностью фаз между входным и опорным колебаниями.

Так же как и в случае преобразования частоты математической основой ФД является перемножение сигналов входного и опорного. Поэтому схемотехника ФД похожа на схемотехнику смесителей, отличия заключается лишь в фильтре который выделяет выходное напряжение. На выходе ФД устанавливают ФНЧ, в то время как в смесителе ПФ. Различают 2 основных способа построения ФД векторно-мерного типа и коммутационного типа.

Выход ФД определяется разностью вых. напряжений 2-х АД (ампл. детектор) на диодах VD1 и VD2 по выходу они (АД) включены встречно. На вход каждого АД поступает сумма сигнального и опорного колебаний. Uвых=Кад(Uvd1-Uvd2)

Проанализируем работу ФД с помощью векторной диаграммы:

Найдем Uvd1 из геометрических построений

С целью упрощения анализа считаем Uc<

Для получения аналитического выражения воспользуемся разложением в ряд функции квадратного корня.

;

Пользуясь аналогичными рассуждениями и учитывая что угол φ2 является положительным записываем

Uвых=Кад(Uvd1-Uvd2)=Кад()=Кад

Uo>>Uc Uвых=КадUccos φ

Линейная зависимость выходного напряжения от сдвига фаз наблюдается в узком диапазоне сдвига фаз (область п/2). Нулевое значение напряжения соответствует сдвигу фаз п/2. При очень большом напряжении сигнала ставиться ключ.

В выражении для выходного напряжения отсутствует выражение для Uo => от опорного напряжения не зависит эффект детектирования если опорное напряжение велико с физической точки зрения при большом значении Uo диоды ведут себя как электронные ключи, поэтому независимо от уровня опорного напряжения ключ может находиться в открытом или закрытом состоянии => в схеме диоды заменяют на электронные ключи – осуществляется переход к схеме коммутационного ФД.

Опорный сигнал в виде последовательных импульсов управляет электронными ключами, сигнальные колебания поступают на сигнальные входы ключей в противофазе (так же как и в предыдущей схеме) вых. напряжение выделяется с помощью ФНЧ. Покажем что зависимость Uвых от сдвига фаз φ имеет такой же вид что и детекторная характеристика предыдущей схемы.

При φ=0 постоянная составляющая токов i1 и i2 принимает макс значение, поэтому макс значение принимает Uвых т.к. именно постоянная составляющая выделяется на выходе схемы.

При φ=п\2 i1 и i2=0 => Uвых=0

Результаты анализа соответствуют ФД предыдущей схемы.
Коммутационная схема проще реализуется в микросхемном но имеет меньшее быстродействие по сравнению с векторно-мерной.

38. Частотные детекторы (ЧД)

ЧД как и ФД(фазовый детектор) является детектором угловой модуляции для всех детекторов данного класса необходимо обеспечить постоянство уровня детектирования сигнала на входе детектора, поэтому как правило сигнал перед угловым детектированием пропускают через амплитудное детектирование, либо применяют спец схемы которые не чувствительны к изменению уровня входного сигнала.

ЧД строятся по одному из 3х принципов:

1. Вх сигнал предварительно преобразуется в АМ сигнал, а затем осуществляется его амплитудное детектирование. Закон АМ(амплитудной модуляции) соответствует ЧМ.

2. Вх сигнал преобразуется в фазово-модулированный после чего осуществляется фазовое детектирование.

3. Вх сигнал преобразуется в импульсный после чего осуществляется обработка импульсного сигнала.

ЧД с промежуточным преобразованием промежуточного сигнала в Амодулированный.

Детектор содержит линейный 4х полюсник. АЧХ которого должна быть линейной.

Т.к. контур расстроен относительно центральной частоты сигнала то Uвых контура меняется во времени по амплитуде.

Достоинства: низкая стоимость.

Недостатки: большие нелинейные искажения, с целью их уменьшения используют балансные схемы где вместо 1 контура применяется 2 АД

Колебательные контуры расстроены симметрично относительно центральной частоты спектра сигнала. Uвых схемы является разностью выходных напряжений АД VD1 и VD2

Меняя частоты настройки колебательных контуров и их добротности можно обеспечить более высокую степень линейности по сравнению с предыдущей схемой. Недостатком является сложная регулировка.

ЧД с промежуточным преобразованием сигнала в фазомодулированный

Здесь ЧД содержит линейны 4х полюсник ФЧХ которого линейна.

Линия задержки φ=ωτ обладает линейной ФЧХ.

Для получения высокой крутизны преобразования изменение частоты в изменение фазы, необходимо иметь большую величину задержки.

Т.к. реализация линии задержки с большой τ затруднительна то используют аппроксимирующие 4х полюсники.

Если мгновенная частота сигнала совпадает с частотой настройки контура то сдвиг фазы вносимой в контур равен нулю то в этом случае на ФД поступают 2 колебания со сдвигом фаз на 90 градусов. => выходное напряжение ФД равно нулю.

При изменении частоты вх сигнала по отношению к частоте настройки контура появляется дополнительный сдвиг фазы, что отражается в выходном напряжении ФД.

В полосе пропускания колебательный контур ведет себя как линия задержки.

Нелинейные искажения меньше т.к. ФЧХ имеет большую степень линейности чем АЧХ контура. (ну и тупняк)

ЧД с импульсным преобразованием сигнала

Есть несколько вариантов построения ЧД. Например по принципу электронно-счетного частотомера.

Рассмотрим простейший вариант реализации схемы:

Чем выше частота следования коротких импульсов (длительность и амплитуда постоянны) тем больше составляющая этой последовательности, которая выделяется ФНЧ.

Достоинства: малое нелинейное искажение.

Недостатки: малое быстродействие, малый частотный диапазон.

39 Воздействие помех на ЧД. Схемы порогопонижения.

Простейшая ситуация когда и помеха и сигнал не модулированы когда помеха меньше сигнала.

Т.к. воздействие помех на сигнал приводит к тому что суммарный вектор Uвх детектора отличается от вектора сигнала и по величине и по фазе (т.к. устанавливаются амплитудные ограничители изменения уровня вх. сигнала), можно при анализе не учитывать, рассмотрим изменение фазы вх. сигнала φ.

φ = , Uп<

Определим то изменение по частоте, которое вносится в сигнал на линии помехи.

Разнообразные схемы фазового детектора по принципу действия можно разделить на две большие группы: нелинейные векторомерные и параметрические. Классификация фазовых детекторов приведена на рисунке К векторомерным относятся фазовым детекторам, в которых выходное напряжение Uвых.фд(t) образуется сравнением амплитуд векторных сумм и разности колебаний U 1 (t) и U 2 (t) с помощью нелинейных элементов и последующего детектирования результирующего сигнала.

Детекторы (дискриминаторы) этой группы используют на высоких частотах. Наиболее распространенными дискриминаторами этого типа являются балансные и кольцевые. Балансный фазовый детектор с квадратичными амплитудными детекторами эквивалентен перемножителю входных колебаний с последующей фильтрации высокочастотных составляющих.

К параметрическим относят детекторы, в которых преобразование разности фаз сигналов в выходное напряжение осуществляется при помощи линейных цепей с переменными параметрами. Параметры линейных цепей можно изменять плавно или скачкообразно. Параметрические фазовые детекторы часто называют коммутационными. В коммутационных фазовых детекторах одно из колебаний, называемое опорным, периодически изменяет параметры электрических цепей. В качестве коммутатора (ключа) применяют механические прерыватели; электронные и транзисторные схемы. Коммутационные фазовые детекторы используются обычно на сравнительно низких частотах (до сотен килогерц). В ряде случаев, в том числе когда требуется специальная характеристика фазового детектора, например в цифровых синтезаторах частоты, используются импульсно-фазовые дискриминаторы.

Как уже было сказано выше фазовым детектором называют устройство предназначенное для создания напряжения, пропорционального разности фаз между сигналом и опорным колебанием. Если на входе фазового детектора действует напряжение: u вх = U вх cos, то продетектированное напряжение

Е д = К фд .

Так как в спектре напряжения на выходе фазового детектора имеются частотные составляющие, которых не было в спектре u вх, то для реализации фазового детектора нельзя использовать линейную схему с постоянными параметрами. Фазовое детектирование нельзя также осуществить с помощью простой безынерционной нелинейной системы. Например, постоянная составляющая тока диодного детектора зависит от амплитуды входного напряжения и не зависит от эго фазы и частоты. Поэтому фазовый детектор можно выполнить на основе линейной системы с переменными параметрами.

Структурная схема фазового детектора показана на рисунке (3);


На этой схеме частота гетеродина (опорное напряжение)


Под действием опорного напряжения u 0 меняется активный параметр схемы, обычно это крутизна S.

Напряжение на выходе с коэффициентом передачи К д:


Согласно рисунка 5 напряжение Е Д на входе фазового детектора зависит от входного сигнала; вид зависимости Е Д от определяется отношением U вх /U 0 . В общем случае характеристика детектирования существенно отличается от косинусоиды.

Если U вх >U 0 то,

Таким образом, при малых амплитудах входного сигнала характеристика детектирования однотактного диодного фазового детектора имеет косинусоидальную форму. Если , то

в этом случае характеристика детектирования представляет собой циклоиду рисунок 5 сильно отличается от косинусоиды.

Фазовый детектор — это устройство, сравнивающее фазы двух сигналов равных или близких частот. Фазовый детектор формирует напряжение, пропорциональное разности фаз.

Для определения фазы неизвестного колебания требуется точка отсчета, которая будет определять начало координат. Обычно в качестве такой точки отсчета выступает опорное синусоидальное колебание, вырабатываемое местным генератором (гетеродином). При этом для выделения фазы можно воспользоваться тригонометрическим тождеством:

При условии равенства частот принимаемого сигнала и гетеродина формула преобразуется к виду:

(2)

Напряжение с удвоенной частотой принимаемого сигнала (удвоенной промежуточной частотой) на выходе фазового детектора легко подавляется фильтром низких частот и в дальнейшем анализе не учитывается:

(3)

Учитывая, что синус малого угла равен значению самого угла, на выходе аналогового умножителя сигналов присутствует напряжение, пропорциональное фазе принимаемого сигнала. Иначе говоря, в качестве фазового детектора может выступать , к одному из входов которого подключен генератор с частотой, равной частоте принимаемого сигнала.

К сожалению, из той же формулы напряжения на выходе умножителя сигналов видна зависимость выходного напряжения от амплитуды входного сигнала и сигнала местного генератора (гетеродина). Поэтому перед детектированием фазомодулированного сигнала в фазовом детекторе напряжение входного сигнала должно быть ограничено по амплитуде.

В ряде схем фазовых детекторов в результате ограничения или по ряду других причин (синтезатор частот, умножитель тактовой частоты) применяются сигналы с логическими уровнями. В этом случае в качестве можно применить .

Структурная схема фазового детектора, реализованная по описанному выше принципу, приведена на рисунке 1.



Рисунок 1. Структурная схема фазового детектора

Форма напряжения на выходе ограничителя амплитуды приближается к прямоугольной форме сигнала со скважностью равной двум. Напряжение (или ток) на выходе местного генератора (гетеродина) тоже стараются получить прямоугольной формы. Для более точного формирования прямоугольного сигнала гетеродина с равной длительностью положительного и отрицательного значения достаточно часто применяют генератор с удвоенной частотой. Затем понижают ее на двоичном делителе (T-триггере). В результате формула (3) преобразуется к следующему виду:

(4)

Линейный участок передаточной характеристики фазового детектора в результате применения прямоугольных колебаний расширяется до диапазона . Пример передаточной характеристики фазового детектора AD9901 приведен на рисунке 2.



Рисунок 2. Передаточная характеристика фазового детектора AD9901

Отклонение передаточной характеристики от линейного закона в микросхеме вызвано ее конечным быстродействием.

Дата последнего обновления файла 16.12.2017

Литература:

  1. "Проектирование радиоприемных устройств" под ред. А.П. Сиверса М., "Высшая школа" 1976 стр. 37 ... 110
  2. "Радиоприемные устройства" под ред. Жуковского М. "Сов. радио" 1989 стр. 8 ... 10
  3. Палшков В.В. "Радиоприемные устройства" - М.: "Радио и связь" 1984 стр. 12 ... 14

Вместе со статьей "Фазовый детектор (демодулятор)" читают:

Цифровые детектры - 2 -

ИМПУЛЬСНЫЕ И ЦИФРОВЫЕ ДЕТЕКТОРЫ

В большинстве современных радиоэлектронных систем приемные устройства представляют собой весьма сложную структуру, реализующую обработку аналого­вых сигналов цифровыми методами. Одним из основных их элементов являются импульсные и цифровые детекторы.

Фазовый детектор на логических элементах

Такие детекторы выполняют на дискретных логических элементах, и их часто называют импульсными. В фазовых детекторах на логических элементах ФМ-колебание преобразуется в импульсное напряжение, скважность импуль­сов которого зависит от фазы входного сигнала.

На рис. 6.25, а приведена схема фазового детектора, а на рис. 6.25, б - е диаграммы, поясняющие его работу.

Импульсный фазовый детектор имеет два входа, на один из них пода­ется ФМ-сигнал u ФМ (t ) = u ФМ (рис. 6.25, б), на другой - опорное напря­жение u ОП (t ) = u ОП (рис. 6.25, г). ФМ-сигнал и опорное напряжение по­ступают на формирующие устройства УФ 1 и УФ 2 соответственно, в качестве которых и используются компараторы. На выходах УФ возника­ют последовательности прямоугольных импульсов u 1 и u 2 (рис 6.25, в, д), длительности которых равны соответственно полупериодам входных коле­баний - ФМ-сигнала и опорного напряжения. Сформированные им­пульсные напряжения u 1 и u 2 поступают на логическое звено И, в качестве которого используются логический элемент И-НЕ. Импульсное напряжение u и амплитудой U 0 на выходе этого звена формируется только при одновременном действии напряжений u 1 и u 2 (рис. 6.25, е) ФНЧ вы­деляет из этого напряжения постоянную составляющую, амплитуда кото­рого U c определяется формулой (ее нетрудно вывести):

Согласно (6.16), выходное напряжение U c фазового детектора на ло­гических элементах линейно зависит от сдвига фазы ФМ-сигнала относи­тельно фазы опорного напряжения.

Цифровой фазовый детектор

Проанализируем процессы детектирования так называемого знакового сигнала, представляющего собой последовательность потенциальных импульсов («еди­ниц») и пауз («нулей»). Простейшими аналогами таких колебаний являются сиг­налы с ШИМ, или ФИМ.

Рассмотрим фазовое детектирование периодической Последовательности прямоугольных импульсов. Заметим, что задержка на некоторое время τ пе­риодического сигнала с периодом следования Т эквивалентна повороту его фазы на определенный угол φ = 2πτ /T . Простейшая схема цифрового фазового детектора (ЦФД) приведена на рис. 6.26, а.

ЦФД выполнен на интегральном JK -триггере, к выходу которого под­ключен фильтр нижних частот в виде интегрирующей RC -цепи. На рис. 6.26, б показаны временные диаграммы напряжений знакового сигнала u ФМ (отра­жающего ФМ-колебание), тактовой последовательности импульсов u оп (т. е. опорного напряжения, с фазой которого сравнивается фаза знакового сигна­ла) и сигнал U (t ) на выходе ЦФД. Импульсный сигнал Q на выходе JK - триггера соответствует его таблице истинности.

Как следует из диаграмм напряжений, длительность выходных импульсов триггера пропорциональна временному (а, значит, и фазовому) сдвигу между колебаниями u ФМ и u оп. Напряжение на выходе ЦФД U (t ) образуется сглажи­ванием импульсов Q в ФНЧ.

Цифровые фазовые детекторы могут быть построены не только на инте­гральном JK - триггере, но и на других логических схемах: элементе «Исключающее ИЛИ», RS - триггере и пр. С помощью этих схем достаточно просто удается получить длительность выходных импульсов, прямо пропорциональную временной задержке между сигналами u ФМ и u оп, после чего сгладить эти импульсы в ФНЧ. На рис. 6.27, а в качестве примера приведена схема ЦФД на элементе «Исключающее ИЛИ» (Сумматор по модулю два ). Временные диаграммы работы ЦФД показаны на рис. 6.27, б. В этой схеме импульсное напряжение у, сформированное в схеме «Исключающее ИЛИ», подается на ФНЧ. Напряжение U (t ) на выходе ФНЧ пропорционально сдвигу ФМ-сигнала относительно опорного u оп. Этот детектор более помехо­устойчив, чем ЦФД на триггере. Дело в том, что триггеры срабатывают по фронтам импульсов, поэтому в случае «дребезга» этих фронтов выходной сигнал ЦФД может оказаться существенно искаженным. Напротив, схема «Исключающее ИЛИ» работает по уровням входных сигналов, поэтому короткие шумовые или помеховые импульсы, приводящие к «дребезгу» фронтов этих сиг­налов, не могут заметно исказить выходное напряжение.